การทดลองที่ 5 วงจรนับ (Counter)

Slides:



Advertisements
งานนำเสนอที่คล้ายกัน
ตารางค่าความจริง คือ อะไร
Advertisements

Datadictionary Prakan Sringam.
ป.2 บทที่ 1 “จำนวนนับ ไม่เกิน1,000”
พีชคณิตบูลีน Boolean Algebra.
Combination Logic Circuits
ลอจิกเกต (Logic Gate).
การประยุกต์ Logic Gates ภาค 2
ระบบเลขฐานสอง โดย นางสาวภาณุมาศ นักษัตรมณฑล รหัสนิสิต
วงจรสวิตช์ประจุ(Switched Capacitor)
3) หลักการทำงาน และการออกแบบ
COE : Microcat.
I/O Interfacing :: x86, ISA Bus
Guitar tuner นำเสนอโดย นาย สันติ พรหมดวงศรี รหัส
โปรแกรมออกแบบวงจรกรองความถี่ต่ำผ่านโดยใช้ค่าความต้านทานและตัวเก็บประจุมาตรฐาน โดย  นายชญาน์ แหวนหล่อ รหัส นายธนวัฒน์ วัฒนราช รหัส
การวิเคราะห์ระบบและวิธีปฏิบัติงาน
เกตทางตรรกและพีชคณิตแบบบูล
วงจรดิจิตอล Digital Circuits Wadchara.
การแทนค่าข้อมูล และ Primary Storage (Memory)
CS Assembly Language Programming
ออโตมาตาจำกัด FINITE AUTOMATA
FINITE STATE AUTOMATA WITH OUTPUT
PLC คืออะไร?           Programmable Logic Controller เครื่องควบคุมเชิงตรรกะ
หลักการทำงานคอมพิวเตอร์
ASCII รหัสแอสกี (ASCII Code) หรือ American Standard Code for Information Interchange เป็นรหัสที่ มีการใช้แพร่หลายกันมากที่สุด เช่น ในไมโครคอมพิวเตอร์
NUMBER SYSTEM เลขฐานสิบ (Decimal Number) เลขฐานสอง (Binary Number)
Number Representations
PARITY GENERATOR & CHECKER
-- Introduction to Sequential Devices Digital System Design I
Register.
Flip-Flop บทที่ 8.
ขั้นตอนการประมวลผล แบบ FUZZY.
Introduction to Digital System
ASSIGN3-4. InstructionResult Z-FlagC-FlagP-FlagS-FlagO-Flag MOV AL,9Eh 9Eh H ????? ADDAL,9Eh 3C ADD AL,1Eh 5A
ระบบการผลิต ( Production System )
แผนผังคาร์โนห์ Kanaugh Map
Flip Flop ฟลิบฟล็อบ Flip Flop เป็น Multivibrator ชนิด Bistable คือ มี Output คงที่ 2 สภาวะ คำว่าคงที่ คือ คงอยู่ในสภาวะใดสภาวะหนึ่งโดยไม่จำกัดเวลา จนกว่าจะมี
ทฤษฎีของพีชคณิตบูลีน (Boolean algebra laws)
ERROR (Data Link Layer)
ตอนที่ 4 ความรู้พื้นฐานทางดิจิตอล
Programmable Controller
การแปลงเลขฐานใดๆเป็นฐานใดๆ
ค21201 คณิตศาสตร์เพิ่มเติม 1
สัปดาห์ที่ 14 ผลตอบสนองต่อความถี่ Frequency Response (Part II)
Basic Programming for AVR Microcontroller
เรื่อง คอมพิวเตอร์กับการประมวลผลข้อมูล
บทที่ 3 การวิเคราะห์ Analysis.
Block Cipher Principles
เป็นไอซี ที่นิยมใช้กันมากในการนำ ไปสร้างสัญญาณรูปคลื่นแบบต่างๆ
Mr.Prasit Tawanha
วงจรนับ (COUNTER CIRCUIT)
วงจรนับที่เป็นวงจรรวม
พีชคณิตบูลีน และการออกแบบวงจรลอจิก (Boolean Algebra and Design of Logic Circuit)
CS Assembly Language Programming
ระบบคอมพิวเตอร์และการประมวลผล
การโปรแกรมPLC.
ระบบเลขในคอมพิวเตอร์
Gate & Circuits.
บทที่ 7 การนำโปรแกรมคอมพิวเตอร์มาใช้ในงานอุตสาหกรรม
Introduction to Computer Organization and Architecture Introduction to Computer Organization and Architecture Episode 3 Numbers Representation.
ไอซีดิจิตอลและการใช้งาน
1. Sequential Circuit and Application
บทที่ 1 ดิจิตอลลอจิกและ โครงสร้างคอมพิวเตอร์
Introduction to Digital System
ระบบเลขจำนวน ( Number System )
วัตถุประสงค์การใช้งาน PLC
PowerPoint Template.
Flip-Flop บทที่ 8.
Flip-Flop บทที่ 8.
Flip-Flop บทที่ 8.
Contents Contents Introduction Objectives Conceptual frame work
ใบสำเนางานนำเสนอ:

การทดลองที่ 5 วงจรนับ (Counter) โดย รศ. ณรงค์ บวบทอง

หัวข้อ 1. บทนำ 2. วงจรนับเลขไบนารี ขนาด 2 บิต 3. วงจรนับสิบ 2. วงจรนับเลขไบนารี ขนาด 2 บิต 3. วงจรนับสิบ 4. วงจรหารความถี่

บทนำ 1. วงจรนับ 2. วงจรหารความถี่

วงจรนับ CLK Q0 Q1 Q2 Q3

วงจรหารความถี่

วงจรนับ วงจรนับเป็น FSM แบบหนึ่ง สัญญาณเอาท์พุทของวงจรนับได้จากสัญญาณเอาท์พุทของฟลิปฟลอปโดยตรง วงจรนับแบ่งออกได้เป็น 2 แบบคือ Synchronous Counter และ Asynchronous Counter หรือ Ripple Counter Synchronous Counter ลักษณะวงจรจะเป็นตามรูปที่ 8.16 โดยสัญญาณนาฬิกาจะป้อนให้แก่ Clock ของฟลิบฟลอบทุกตัว

วงจรนับ CLK Q0 Q1 Q2 Q3

การนับเลขไบนารี 00 01 11 การนับเลขไบนารี ขนาด 2 บิต Text 10

การนับเลข BCD หรือเลขฐานสิบ 0000 1001 9 0001 1 1000 8 0111 7 การนับเลขบีซีดี ขนาด 1 หลัก 0010 2 0011 3 0110 6 0100 4 0101 5

การออกแบบวงจรนับ การออกแบบวงจรนับก็มีลำดับขั้นเหมือนกับการออกแบบ FSM คือมีขั้นตอนดังนี้ เขียน State Diagram เขียน State Table หาจำนวนฟลิบฟลอบ n โดย 2n > ค่าสูงสุดที่จะนับได้ และกำหนดชนิดของฟลิปฟลอป หาฟังก์ชั่นอินพุทของฟลิบฟลอบแต่ละตัว เขียนวงจร

วงจรนับขึ้นแบบเลขไบนารี ขนาด 2 บิต เป็นเอาท์พุทของวงจรนับ โดย Q0 เป็นบิตที่มีนัยสำคัญต่ำ (LSB) บิต Q1 เป็นบิตที่มีนัยสำคัญสูง (MSB) CLK เป็นสัญญาณนาฬิกา

การออกแบบ

วงจรนับแบบไบนารี ขนาด 2 บิต วงจรนับแบบไบนารี ขนาด 2 บิต

วงจรนับขึ้นแบบเลขไบนารี ขนาด 2 บิต มีสัญญาณควบคุม เป็นเอาท์พุทของวงจรนับ โดย Q0 เป็นบิตที่มีนัยสำคัญต่ำ (LSB) บิต Q1 เป็นบิตที่มีนัยสำคัญสูง (MSB) เป็นสัญญาณควบคุมการนับ ถ้าเป็นโลจิก ‘1’ จะนับสัญญาณนาฬิกา ถ้าเป็นโลจิก ‘0’ จะหยุดนับและคงค่าสถานะเดิม เป็นสัญญาณนาฬิกา

วงจรนับขึ้นแบบเลขไบนารี ขนาด 2 บิต มีสัญญาณควบคุม เขียน State Diagram แปลงจาก State Diagram เป็นตารางการทำงาน หรือ State table

วงจรนับขึ้นแบบเลขไบนารี ขนาด 2 บิต มีสัญญาณควบคุม

วงจรนับขึ้นแบบเลขไบนารี ขนาด 2 บิต มีสัญญาณควบคุม

การนับเลข BCD หรือเลขฐานสิบ 0000 1001 9 0001 1 1000 8 0111 7 การนับเลขบีซีดี ขนาด 1 หลัก 0010 2 0011 3 0110 6 0100 4 0101 5

วงจรนับขึ้นแบบเลขฐานสิบ (BCD Counter) วงจรนับจาก 0 - 9 q3 q2 q1 q0 Q3 Q2 Q1 Q0 1 q3 q2 q1 q0 Q3 Q2 Q1 Q0 1

Logic Diagram ของ BCD Counter

วงจรนับ BCD 4 หลัก

วงจรหารความถี่

การใช้อุปกรณ์ใน Lib. สร้างวงจรหารความถี่

อุปกรณ์ใน Lib. CB8RE

วงจรหารความถี่ The synchronous reset (R) is the highest priority input. When R is High, all other inputs are ignored; the Q outputs, terminal count (TC), and clock enable out (CEO) go to logic level zero during the Low-to-High clock transition. The Q outputs increment when the clock enable input (CE) is High during the Low-to-High clock (C) transition. The counter ignores clock transitions when CE is Low. The TC output is High when both Q outputs are High.

วงจรหารความถี่

วงจรนับ BCD 4 หลัก

วงจรนับ BCD 4 หลัก พร้อมกับภาคถอดรหัสแอลอีดี 7 ส่วน

วงจรนับ BCD 4 หลัก พร้อมกับภาคถอดรหัสแอลอีดี 7 ส่วน แบบ Muxtiplex

วงจรนับเลขฐานสิบ 4 หลัก วงจรนับเลขฐานสิบ 4 หลัก

Progress Diagram Phase 1 Phase 2 Phase 3

Block Diagram TEXT TEXT TEXT TEXT TEXT TEXT TEXT TEXT

Table TEXT Title A Title B Title C Title D Title E Title F

3-D Pie Chart TEXT TEXT TEXT TEXT TEXT TEXT

Marketing Diagram Title TEXT TEXT TEXT TEXT